• ポートフォリオ機能


ポートフォリオを新規に作成して保存
既存のポートフォリオに追加保存

  • この表をプリントする
PDF PDFをダウンロード
審決分類 審判 査定不服 4項1号請求項の削除 特許、登録しない。 H01L
審判 査定不服 2項進歩性 特許、登録しない。 H01L
管理番号 1368641
審判番号 不服2019-8259  
総通号数 253 
発行国 日本国特許庁(JP) 
公報種別 特許審決公報 
発行日 2021-01-29 
種別 拒絶査定不服の審決 
審判請求日 2019-06-20 
確定日 2020-11-25 
事件の表示 特願2016-573642「半導体ウエハをプラズマ・ダイシングするための方法及び装置」拒絶査定不服審判事件〔平成27年 9月11日国際公開、WO2015/134111、平成29年 6月 8日国内公表、特表2017-515316〕について、次のとおり審決する。 
結論 本件審判の請求は、成り立たない。 
理由 第1 手続の経緯
本願は,2015年1月2日(パリ条約による優先権主張外国庁受理2014年3月7日,アメリカ合衆国)を国際出願日とする出願であって,その手続の経緯は以下のとおりである。
平成30年10月26日付け:拒絶理由通知書
平成31年 1月28日 :意見書,手続補正書の提出
平成31年 2月14日付け:拒絶査定
令和 元年 6月20日 :審判請求書,手続補正書の提出

第2 本件補正について
1 本件補正の内容
(1) 平成31年1月28日提出の手続補正書により補正された(以下「本件補正前」という。)特許請求の範囲の請求項1ないし21は,以下のとおりである。
「【請求項1】
基板をプラズマ・ダイシングするための方法であって,
壁を有する処理チャンバを設けるステップと,
前記処理チャンバの前記壁に隣接してプラズマ源を設けるステップと,
前記処理チャンバ内に加工物サポートを設けるステップと,
フレームに取り付けられたサポート・フィルム上に中間リングと前記基板を置いて加工物を形成するステップと,
前記処理チャンバ内の前記加工物サポート上に前記加工物を載せるステップと,
前記プラズマ源によりプラズマを発生するステップと,
前記発生されたプラズマにより前記加工物をエッチングするステップと,
更なる処理のために前記処理チャンバ外に前記加工物を移送するステップと,
を含む,方法。
【請求項2】
前記中間リングは,前記サポート・フィルムと接触する,請求項1に記載の方法。
【請求項3】
前記中間リングが所定の内径を有し,
前記基板が外径を有することをさらに含み,
前記中間リングの前記内径が,前記基板の前記外径よりサイズが大きい,請求項1に記載の方法。
【請求項4】
前記中間リングは,前記基板と同一平面上に位置付けられる,請求項1に記載の方法。
【請求項5】
前記中間リングは,1つ又は複数の部品をさらに含む,請求項1に記載の方法。
【請求項6】
上側表面及び下側表面を有するサポート・フィルムをさらに含み,
前記中間リングが,前記サポート・フィルムの前記上側表面上に位置付けられ,
前記基板が,前記サポート・フィルムの前記上側表面上に位置付けられる,請求項1に記載の方法。
【請求項7】
基板をプラズマ・ダイシングするための方法であって,
壁を有する処理チャンバを設けるステップと,
前記処理チャンバの前記壁に隣接してプラズマ源を設けるステップと,
前記処理チャンバ内に加工物サポートを設けるステップと,
フレームに取り付けられたサポート・フィルム上に中間リングと前記基板を置いて加工物を形成するステップと,
前記プラズマ源と前記処理チャンバ内の前記加工物サポートとの間にカバー・リングを設けるステップと,
前記処理チャンバ内の前記加工物サポート上に前記加工物を載せるステップと,
前記プラズマ源によりプラズマを発生するステップと,
前記発生されたプラズマにより前記加工物をエッチングするステップと,
更なる処理のために前記処理チャンバの外に前記加工物を移送するステップと,を含む,方法。
【請求項8】
前記中間リングは,前記カバー・リングに重ならない,請求項7に記載の方法。
【請求項9】
前記中間リングは,前記カバー・リングに重なる,請求項7に記載の方法。
【請求項10】
前記中間リングが所定の内径を有し,
前記基板が外径を有し,
前記中間リングの前記内径が,前記基板の前記外径よりサイズが大きい,請求項7に記載の方法。
【請求項11】
前記中間リングは,前記基板と同一平面上に位置付けられる,請求項7に記載の方法。
【請求項12】
前記中間リングはさらに,1つ又は複数の部品からなる,請求項7に記載の方法。
【請求項13】
サポート・フィルムが上側表面及び下側表面を有し,
前記中間リングが,前記サポート・フィルムの前記上側表面上に位置付けられ,前記基板が,前記サポート・フィルムの前記上側表面上に位置付けられる,請求項7に記載の方法。
【請求項14】
基板をプラズマ・ダイシングするための方法であって,
壁を有する処理チャンバを設けるステップと,
前記処理チャンバの前記壁に隣接してプラズマ源を設けるステップと,
前記処理チャンバ内に加工物サポートを設けるステップであって,前記加工物サポートは,静電チャックを有する,ステップと,
フレームに取り付けられたサポート・フィルム上に中間リングと前記基板を置いて加工物を形成するステップと,
前記処理チャンバ内の前記加工物サポート上に前記加工物を載せるステップと,
前記プラズマ源によりプラズマを発生するステップと,
前記発生されたプラズマにより前記加工物をエッチングするステップと,
更なる処理のために前記処理チャンバの外に前記加工物を移送するステップと,を含む,方法。
【請求項15】
前記静電チャックが少なくとも1つのクランプ電極を有し,
前記中間リングが,前記静電チャックの前記クランプ電極に重なる,請求項14に記載の方法。
【請求項16】
前記静電チャックが少なくとも1つのクランプ電極を有し,
前記中間リングが,前記静電チャックの前記クランプ電極に全面的に重なる,請求項14に記載の方法。
【請求項17】
前記中間リングが所定の内径を有し,
前記基板が外径を有し,
前記中間リングの前記内径が,前記基板の前記外径よりサイズが大きい,請求項14に記載の方法。
【請求項18】
前記中間リングは,前記基板と同一平面上に位置付けられる,請求項14に記載の方法。
【請求項19】
前記中間リングはさらに,1つ又は複数の部品からなる,請求項14に記載の方法。
【請求項20】
サポート・フィルムが上側表面及び下側表面を有し,
前記中間リングが,前記サポート・フィルムの前記上側表面上に位置付けられ,
前記基板が,前記サポート・フィルムの前記上側表面上に位置付けられる,請求項14に記載の方法。
【請求項21】
基板をプラズマ・ダイシングするための方法であって,
剛体フレームに取り付けられた柔軟な膜上に中間リングと少なくとも1つの基板が配置される加工物を組み立てるステップと,
前記加工物を処理チャンバの内部に移送するステップと,
前記処理チャンバの内部で前記加工物の前記基板をプラズマに晒すステップと,
前記剛体フレームを除去することによって前記加工物を修正するステップと,
プラズマを使用して前記修正加工物を処理するステップと,
更なる処理のために前記処理チャンバの外に前記加工物を移送するステップと,を含む,方法。」

(2) 本件補正後の特許請求の範囲の請求項1(以下「本願発明1」という。)は,以下のとおりである。
「【請求項1】
基板をプラズマ・ダイシングするための方法であって,
壁を有する処理チャンバを設けるステップと,
前記処理チャンバの前記壁に隣接してプラズマ源を設けるステップと,
前記処理チャンバ内に加工物サポートを設けるステップと,
フレームに取り付けられたサポート・フィルム上に中間リングと前記基板を置いて加工物を形成するステップと,
前記処理チャンバ内の前記加工物サポート上に前記加工物を載せるステップと,
前記プラズマ源によりプラズマを発生するステップと,
前記発生されたプラズマにより前記加工物をエッチングするステップと,
更なる処理のために前記処理チャンバ外に前記加工物を移送するステップと,
を含む,方法。」

2 補正の適否について
本件補正は,本件補正前の特許請求の範囲について補正しようとするものであるところ,本件補正は,本件補正前の請求項2ないし21を削除するものであるから,本件補正は,特許法17条の2第5項1号に掲げる,同法36条5項に規定する請求項の削除を目的とする補正である。
したがって,本件補正は適法になされたものである 。

第3 原査定の拒絶の理由
原査定の拒絶の理由は,この出願の請求項1に係る発明は,本願の優先権主張の日(以下「優先日」という。)前に日本国内又は外国において,頒布された又は電気通信回線を通じて公衆に利用可能となった下記の引用文献1及び2に記載された発明に基づいて,その出願前にその発明の属する技術の分野における通常の知識を有する者が容易に発明をすることができたものであるから,特許法29条2項の規定により特許を受けることができない,というものである。

引用文献1.国際公開第2012/125560号
引用文献2.米国特許第5389182号明細書

第4 引用文献の記載及び引用発明
1 引用文献1の記載
引用文献1には,以下の事項が記載されている。(日本語訳は,対応する日本語公報である,特表2014-513868号公報に基づく。)
「The present invention describes a plasma processing apparatus which allows for plasma dicing of a semiconductor substrate. After device fabrication and wafer thinning, the front side (circuit side) of the substrate is masked using conventional masking techniques which protects the circuit components and leaves unprotected areas between the die. The substrate is mounted on a thin tape which is supported within a rigid frame. The substrate/tape/frame assembly is transferred into a vacuum processing chamber and exposed to reactive gas plasma where the unprotected areas between the die are etched away. During this process, the frame and tape are protected from damage by the reactive gas plasma. The processing leaves the die completely separated. After etching, the substrate/tape/frame assembly is additionally exposed to plasma which removes potentially damaging residues from the substrate surface. After transfer of the substrate/tape/frame assembly out of the process chamber, the die are removed from the tape using well known techniques and are then further processed (e.g., packaged) as necessary.
Another feature of the present invention is to provide a method for plasma dicing a substrate. The substrate can have a semiconducting layer such as Silicon and/or the substrate can have a III-V layer such as GaAs. The substrate can have a protective layer such as a photoresist layer that is patterned on a circuit side of the substrate. A process chamber having a wall with a plasma source adjacent to the wall of the process chamber is provided. The plasma source can be a high density plasma source. A vacuum pump in fluid communication with the process chamber and a gas inlet in fluid communication with the process chamber can be provided. A work piece support within the process chamber is provided. A work piece is formed by placing the substrate on a carrier support. The work piece can be formed by adhering the substrate to a support film and then mounting the substrate with the support film to a frame. The support film can have a polymer layer and/or a conductive layer. The support film can be standard dicing tape. The frame can have a conductive layer and/or a metal layer. The work piece is then loaded onto the work piece support for plasma processing. An RF power source can be coupled to the work piece support to create a plasma around the work piece. A thermal communication between the work piece and the work piece support can be provided by supplying a pressurized gas such as helium from the work piece support to the work piece. An electrostatic chuck can be incorporated into the work piece support whereby the electrostatic chuck can clamp the support film to the electrostatic chuck. The electrostatic chuck can have monopolar or multipolar clamping electrodes and provide a clamping force through either a coulombic or Johnsen-Rahbek effect. The electrostatic chuck can have a diameter that is greater than a diameter of the substrate. The electrostatic chuck can have a diameter that is less than an inner diameter of the frame. The electrostatic chuck can have a flat top surface. The electrostatic chuck can have features that are less than a singulated die size. A lifting mechanism can be incorporated into the work piece support where the work piece is loaded onto the lifting mechanism. The lifting mechanism can be designed so that it only touches the frame of the work piece. A filler ring can be provided where the filler ring extends from an outer diameter of the electrostatic chuck to the lifting mechanism. The filler ring can be made from a dielectric material. A mechanical partition can be provided between the high density source and the work piece. The partition can be a screen that can be conductive that can be made of aluminum. A cover ring is disposed above the work piece. An inner diameter of the cover ring can be less than an outer diameter of the substrate. The pressure within the process chamber can be reduced through the vacuum pump and a process gas can be introduced into the process chamber through the gas inlet. A plasma is generated through the plasma source whereby the work piece is etched through the generated plasma. The temperature of the cover ring can be controlled during the plasma etching step. During the plasma etching step, the cover ring can be cooled to a temperature of less than 80°C. The cover ring can be cooled by using the wall of the process chamber and/or a heat sink. The cover ring can be temperature controlled by contacting a temperature controlled fluid. The cover ring can have a plurality of holes, a plasma resistant layer, a metal layer and/or a ceramic layer. A vacuum compatible transfer module can be provided that communicates with the process chamber. The work piece can be loaded onto a transfer arm in the vacuum compatible transfer module whereby the process chamber is maintained under vacuum during a transfer of the work piece from the vacuum compatible transfer module to the process chamber. The transfer arm can be flat, indexed to the frame, only contact the frame and/or remain substantially coplanar to the substrate during transfer. The work piece can be aligned prior to transfer into the process chamber by a mechanical alignment and/or an optical alignment. The frame and/or the substrate can be aligned prior to transfer into the process chamber.」(明細書8ページ8行-11ページ2行)
(日本語訳:「本発明は,半導体基板のプラズマ・ダイシングを可能にするプラズマ処理装置について記載する。デバイスの製作及びウェーハの薄膜化後,従来のマスキング技法を使用して基板の前側(回路側)がマスキングされ,それによって回路構成要素を保護し,ダイ間に保護されていない領域を残す。基板は,剛性フレーム内に支持された薄いテープ上に取り付けられる。基板/テープ/フレーム・アセンブリは,真空の処理チャンバ内へ移動され,反応ガス・プラズマに露出されて,ダイ間の保護されていない領域がエッチング除去される。この処理中,フレーム及びテープは,反応ガス・プラズマによる損傷から保護される。この処理により,ダイは完全に分離される。エッチング後,基板/テープ/フレーム・アセンブリはプラズマにさらに露出され,それによって潜在的に損傷を与えうる残留物を基板表面から取り除く。基板/テープ/フレーム・アセンブリを処理チャンバから移動させた後,ダイはよく知られている技法を使用してテープから取り外され,次いで必要に応じてさらに処理(たとえばパッケージング)される。
本発明の別の特徴は,基板をプラズマ・ダイシングする方法を提供することである。基板は,ケイ素などの半導電層を有することができ,且つ/又は基板は,GaAsなどのIII-V族層を有することができる。基板は,基板の回路側にパターン形成されたフォトレジスト層などの保護層を有することができる。壁を有する処理チャンバが供給され,処理チャンバの壁にプラズマ源が隣接している。プラズマ源は,高密度プラズマ源とすることができる。処理チャンバと流体的に連通している真空ポンプと,処理チャンバと流体的に連通しているガス入口とを供給することができる。処理チャンバ内の加工物支持部が供給される。基板をキャリア支持部上に配置することによって,加工物が形成される。加工物は,基板を支持フィルムに接着させ,次いで基板を支持フィルムとともにフレームに取り付けることによって形成することができる。支持フィルムは,高分子層及び/又は導電層を有することができる。支持フィルムは,標準的なダイシング・テープとすることができる。フレームは,導電層及び/又は金属層を有することができる。次いで,加工物は,プラズマ処理のために加工物支持部上へロードされる。加工物支持部にRF電源を結合して,加工物の周りにプラズマを生じさせることができる。加工物支持部から加工物へヘリウムなどの加圧ガスを供給することによって,加工物と加工物支持部との間に熱的連通を提供することができる。加工物支持部内へ静電チャックを組み込むことができ,それによって,静電チャックは支持フィルムを静電チャックへ固定することができる。静電チャックは,単極又は多極のクランプ電極を有することができ,クーロン効果又はジョンセン・ラーベック効果によってクランプ力を提供することができる。静電チャックは,基板の直径より大きい直径を有することができる。静電チャックは,フレームの内径より小さい直径を有することができる。静電チャックは,平坦な上面を有することができる。静電チャックは,個片化されたダイ寸法より小さい特徴を有することができる。加工物支持部内へリフト機構を組み込むことができ,それによりリフト機構上へ加工物がロードされる。リフト機構は,加工物のフレームのみに接触するように設計することができる。充填リングを設けることができ,充填リングは,静電チャックの外径からリフト機構まで延びる。充填リングは,誘電体材料から作ることができる。高密度源と加工物との間に,機械的な区画を設けることができる。その区画はスクリーンとすることができ,スクリーンは,アルミニウムから作ることができる導電性のものとすることができる。加工物の上にカバー・リングが配置される。カバー・リングの内径は,基板の外径より小さくすることができる。処理チャンバ内の圧力は,真空ポンプによって減少させることができ,ガス入口を通って処理チャンバ内へ処理ガスを導入することができる。プラズマ源によってプラズマが生成され,それによって加工物は,生成されたプラズマによってエッチングされる。カバー・リングの温度は,プラズマ・エッチング・ステップ中に制御することができる。プラズマ・エッチング・ステップ中,カバー・リングは80℃より低い温度まで冷却することができる。カバー・リングは,処理チャンバの壁及び/又はヒート・シンクを使用することによって冷却することができる。カバー・リングは,温度制御された流体に接触することによって温度制御することができる。カバー・リングは,複数の孔,耐プラズマ性の層,金属層,及び/又はセラミック層を有することができる。処理チャンバと連通する真空に適合した移動モジュールを設けることができる。加工物は,真空に適合した移動モジュール内の移動アーム上へロードすることができ,それによって,真空に適合した移動モジュールから処理チャンバへの加工物の移動中,処理チャンバは真空下で維持される。移動アームは,移動中,平坦にし,フレームに割り出しされ,フレームのみに接触し,且つ/又は基板に対して実質上共平面のままにすることができる。加工物は,処理チャンバ内へ移動させる前に,機械的位置合わせ及び/又は光学的位置合わせによって位置合わせすることができる。フレーム及び/又は基板は,処理チャンバ内へ移動させる前に,位置合わせすることができる。)

「In Figure 8, the dimension (D) represents the distance between the outer diameter of the substrate (1) and the inner diameter of the frame (6). This may be 20 mm to 30 mm (e.g., Disco Corporation dicing frame is 250 mm for 200 mm substrates, so that the dimension (D) is nominally 25 mm). During mounting of the wafer (1) on the tape (5) within the frame (6), the deviation of wafer (1) placement may be as much as 2 mm so that dimension (E), which is the distance between the substrate (1) outer diameter and the inner diameter of the cover ring (20) can also vary from assembly to assembly by up to 2 mm. If at some point (E) is less than zero the cover ring (20) will overlay the edge of the substrate (1). This point will be shadowed and prevented from etching, which can prevent die separation and cause problems in subsequent processing steps. Alignment of the substrate/tape/frame assembly (1A) prior to transfer is required to prevent such problems. Further, to additionally ensure that dimension (E) is not less than zero, the cover ring inner diameter should be greater than the diameter of the substrate (1) with a preferred diameter 5 mm greater than the substrate (e.g., 205 mm cover ring inner diameter for 200 mm substrate). Dimension (F) in Figure 8 represents the distance from the inner diameter of the cover ring (20) to the inner diameter of the frame (6). Alignment of the frame (6) prior to transfer into the process chamber (10) ensures that (F) remains constant for the entire circumference around the substrate (1) and that any portion of tape (5) that is not contacted by the Electrostatic chuck (ESC) (16) is shadowed from the plasma (7). 」(22ページ4-23行)
(日本語訳:図8では,寸法(D)は,基板(1)の外径とフレーム(6)の内径との間の距離を表す。これは20mm?30mmとすることができる(たとえば,Disco Corporationのダイシング・フレームは200mmの基板に対して250mmであり,したがって寸法(D)は公称で25mmである)。フレーム(6)内のテープ(5)上にウェーハ(1)を取り付ける間,ウェーハ(1)の配置のずれは多くても2mmとすることができ,したがって,基板(1)の外径とカバー・リング(20)の内径との間の距離である寸法(E)もまた,アセンブリごとに最大2mmまで変動することがある。ある点で(E)が0より小さい場合,カバー・リング(20)は基板(1)の縁部に重なる。この点は陰になってエッチングされず,これはダイ分離を妨げ,後の処理ステップで問題を引き起こす可能性がある。そのような問題を防止するには,移動前の基板/テープ/フレーム・アセンブリ(1A)の位置合わせが必要である。さらに,寸法(E)がゼロ以上であることをさらに確実にするために,カバー・リングの内径は,基板(1)の直径より大きくするべきであり,好ましい直径は基板より5mm大きい(たとえば,200mmの基板の場合,カバー・リングの内径は205mmである)。図8の寸法(F)は,カバー・リング(20)の内径からフレーム(6)の内径までの距離を表す。処理チャンバ(10)内へ移動させる前にフレーム(6)を位置合わせすることで,基板(1)の円周全体にわたって(F)を一定のままにし,テープ(5)のうち,静電チャック(ESC)(16)が接触しないあらゆる部分がプラズマ(7)からの陰になるようにする。)

「Additional cooling of the substrate (1) is provided by the use of an Electrostatic chuck (ESC) (16). Such ESCs (16) are commonly used in semiconductor processing to apply downward force to the substrate (1) while a pressurized gas such as Helium is maintained between the substrate (1) and the electrode. This ensures that heat transfer can occur between the substrate (1) and the electrode, which is cooled. Typically, ESCs (16) are the same diameter or smaller than the substrate (1) to prevent unwanted exposure of the ESC (16) surface to potentially corrosive plasma gases that can decrease the lifetime of the ESC (16). With a substrate/tape/frame assembly (1A), the area outside the diameter of the substrate (1) is tape (5). Using a typical ESC (16), because the cover ring (20) is larger than the diameter of the substrate (1), there would be an area of tape (5) exposed to the plasma process that is not being clamped and cooled by the ESC (16) or being shielded from the plasma (7) by the cover ring (20). Such an area of tape (5) would reach a high temperature and possibly fail. Thus, Figure 8 shows the use of an ESC (16) that is made purposely larger than the substrate diameter so that any tape (5) which is exposed to the plasma in region (E) is also clamped and cooled. This diameter can be extended outwards to the outer diameter of the frame (6), but is preferred to be 2 mm less than the inner diameter of the frame (6).
Figure 8 shows a filler ring (18) that extends from the outer diameter of the ESC (16) to the lifting mechanism (17). This filler ring (18) is used to prevent the back surface of any exposed tape (5) from being contacted by the plasma (7). Although a separate filler ring (18) is shown, an extension of the ESC (16) would also prevent plasma (7) exposure to the backside of the tape (5). The filler ring (18) is typically made of a dielectric material, such as a ceramic (e.g., Aluminum Oxide) or a plastic material, (e.g., polytetrafluoroethylene (PTFE, Teflon)) selected for both its low thermal conductivity and its low electrical conductivity. Typical ESCs (16) used in semiconductor processing have a pattern of shallow features fabricated on their surface to facilitate Helium distribution or to minimize contact with the backside of a substrate (1) to reduce particle formation. Such an ESC (16) can be used for plasma dicing when a substrate (1) is separated into multiple die, providing the feature dimensions on the ESC surface are smaller than the die size. When the die size approaches and becomes smaller than the ESC feature size, the tape will now conform to the features and flex, possibly causing the die to touch each other which can cause damage. The use of a substantially coplanar ESC surface eliminates this problem. Note that though the preceding example describes an ESC that cools the substrate, for some materials (e.g. approximately 180°C for indium containing substrates) that require a higher temperature to facilitate the plasma etch process, a higher temperature controlled ESC (16) temperature may be desirable.」(24ページ3行-25ページ15行)
(日本語訳:静電チャック(ESC)(16)の使用によって,基板(1)の追加の冷却が提供される。そのようなESC(16)は,基板(1)に下方への力を加えるために半導体処理で一般に使用されるが,基板(1)と電極との間にはヘリウムなどの加圧ガスが維持される。これにより,基板(1)と冷却された電極との間で熱伝達を行うことを確実にすることができる。通常,ESC(16)の寿命を減少させる可能性のある潜在的に腐食性のプラズマ・ガスに対するESC(16)の表面の望ましくない露出を防止するために,ESC(16)は基板(1)と同じ直径であり,又は基板(1)より小さい。基板/テープ/フレーム・アセンブリ(1A)では,基板(1)の直径の外側の領域がテープ(5)である。典型的なESC(16)を使用することで,カバー・リング(20)が基板(1)の直径より大きいため,ESC(16)によって固定及び冷却されておらず,又はカバー・リング(20)によってプラズマ(7)から遮蔽されていないため,プラズマ処理に露出されるテープ(5)の領域があるはずである。テープ(5)のそのような領域は高温に到達し,場合によっては機能しなくなるはずである。したがって,図8は,領域(E)でプラズマに露出されたいかなるテープ(5)も固定及び冷却されるように,基板の直径より故意に大きくしたESC(16)を使用することを示す。この直径は,フレーム(6)の外径まで外側へ延ばすことができるが,フレーム(6)の内径より2mm小さいことが好ましい。
図8は,充填リング(18)がESC(16)の外径からリフト機構(17)まで延びることを示す。この充填リング(18)は,露出されたいかなるテープ(5)の裏面にもプラズマ(7)が接触するのを防止するために使用される。別個の充填リング(18)を示すが,ESC(16)を延ばすことでも,テープ(5)の裏側に対するプラズマ(7)の露出を防止するであろう。充填リング(18)は通常,低い熱伝導性及び低い電導性の両方のために選択されるセラミック(たとえば,酸化アルミニウム)などの誘電体材料,又はプラスチック材料(たとえば,ポリテトラフルオロエチレン(PTFE,Teflon))から作られる。半導体処理で使用される典型的なESC(16)は,ヘリウムの分配を容易にするため,又は基板(1)の裏側との接触を最小にして粒子の形成を低減させるため,表面上に製作された浅い形体のパターンを有する。そのようなESC(16)は,基板(1)を複数のダイに分離するとき,プラズマ・ダイシングに使用することができ,ダイ寸法より小さいESC表面上の形体寸法を提供することができる。ダイ寸法がESCの形体寸法に接近し,ESCの形体寸法より小さくなったとき,テープは形体に合わせて曲がり,場合によってはダイを互いに接触させ,損傷を引き起こす可能性がある。実質上共平面のESC表面を使用することでこの問題は解消される。前の例では,プラズマ・エッチング処理を容易にするためにより高い温度を必要とするいくつかの材料(たとえば,インジウム含有基板の場合は約180℃)の場合,ESCが基板を冷却することについて説明したが,ESC(16)の温度がより高温で制御されることが望ましいこともあることに留意されたい。)

2 引用発明
したがって,引用文献1には,次の発明(以下「引用発明」という。),及び,技術的事項が記載されている。

(1)<引用発明>
「壁を有する処理チャンバを供給するステップと,
処理チャンバの壁にプラズマ源を隣接させるステップと,
処理チャンバ内の加工物支持部を供給するステップと,
基板を支持フィルムに接着させ,次いで基板を支持フィルムとともにフレームに取り付けることによって加工物を形成するステップと,
加工物を,プラズマ処理のために加工物支持部上へロードするステップと,
加工物の上にカバー・リングを配置するステップと,
プラズマ源によってプラズマを生成するステップと,
加工物を,生成したプラズマによってエッチングするステップとを含む,
基板のプラズマ・ダイシング方法であって,
基板の外径とフレームの内径との間の距離を表す寸法(D)は,20mm?30mmであり(たとえば,Disco Corporationのダイシング・フレームは200mmの基板に対して250mmであり,したがって寸法(D)は公称で25mmである),
カバー・リングの内径は,基板の直径より大きく,好ましい直径は基板より5mm大きい(たとえば,200mmの基板の場合,カバー・リングの内径は205mmである)
基板のプラズマ・ダイシング方法。」

(2)<技術的事項>
・引用文献1に記載された,半導体基板のプラズマ・ダイシングを可能にするプラズマ処理装置の使用において,基板/テープ/フレーム・アセンブリは,真空の処理チャンバ内へ移動され,反応ガス・プラズマに露出されて,ダイ間の保護されていない領域がエッチング除去され,ダイが完全に分離される処理の後に,基板/テープ/フレーム・アセンブリを処理チャンバから移動させて,ダイはよく知られている技法を使用してテープから取り外され,次いで必要に応じてさらに処理(たとえばパッケージング)されること。

・カバー・リングによってプラズマから遮蔽されていないプラズマ処理に露出されるテープの領域は高温に到達し,場合によっては機能しなくなること。

・ESCの外径からリフト機構まで延びる充填リングが,露出されたいかなるテープの裏面にもプラズマが接触するのを防止するために使用されること。

・ESCを延ばすことでも,テープの裏側に対するプラズマの露出を防止できること。

3 引用文献2の記載
引用文献2には,以下の事項が記載されている。(日本語訳は,当審で作成した。)
「After the protective coating has been removed from the wafer, portions of the spacer layer 68 or 92 must be removed to leave the mirror or accelerometer support beam. This undercut operation is typically done in a plasma reactor. To prevent exposing the adhesive 21 on the dicing tape 22 to the plasma, a protective cover may be placed over the exposed adhesive 21 of the dicing tape 22.」(4欄17-24行)
(日本語訳:保護コーティングがウェハから除去された後,スペーサ層68または92の一部は,ミラーまたは加速度計支持ビームを残すために除去されなければならない。このアンダーカット操作は,典型的にはプラズマリアクターで行われる。ダイシングテープ22の接着剤21がプラズマに露出するのを防ぐために,ダイシングテープ22の露出した接着剤21の上に保護カバーを置いてもよい。)

4 引用文献2に記載された技術的事項
したがって,引用文献2には,次の技術的事項が記載されている。
・プラズマリアクターで行われる操作において,ダイシングテープの接着剤がプラズマに露出するのを防ぐために,ダイシングテープの露出した接着剤の上に保護カバーを置くこと。

第5 対比
本願発明1と引用発明を対比すると,以下のとおりとなる。
引用発明の「壁を有する処理チャンバを供給するステップ」,「処理チャンバの壁にプラズマ源を隣接させるステップ」,「処理チャンバ内の加工物支持部を供給するステップ」,「加工物を,プラズマ処理のために加工物支持部上へロードするステップ」,「プラズマ源によってプラズマを生成するステップ」,及び,「加工物を,生成したプラズマによってエッチングするステップ」は,それぞれ,
本願発明1の「壁を有する処理チャンバを設けるステップ」,「前記処理チャンバの前記壁に隣接してプラズマ源を設けるステップ」,「前記処理チャンバ内に加工物サポートを設けるステップ」,「前記処理チャンバ内の前記加工物サポート上に前記加工物を載せるステップ」,「前記プラズマ源によりプラズマを発生するステップ」,及び,「前記発生されたプラズマにより前記加工物をエッチングするステップ」に相当する。

引用発明の「基板を支持フィルムに接着させ,次いで基板を支持フィルムとともにフレームに取り付けることによって加工物を形成するステップ」と,本願発明1の「フレームに取り付けられたサポート・フィルム上に中間リングと前記基板を置いて加工物を形成するステップ」とは,フレームに取り付けられたサポート・フィルム上に前記基板を置いて加工物を形成するステップである範囲において一致する。

したがって,本願発明1と引用発明は,以下の構成において一致する。
<一致点>
基板をプラズマ・ダイシングするための方法であって,
壁を有する処理チャンバを設けるステップと,
前記処理チャンバの前記壁に隣接してプラズマ源を設けるステップと,
前記処理チャンバ内に加工物サポートを設けるステップと,
フレームに取り付けられたサポート・フィルム上に前記基板を置いて加工物を形成するステップと,
前記処理チャンバ内の前記加工物サポート上に前記加工物を載せるステップと,
前記プラズマ源によりプラズマを発生するステップと,
前記発生されたプラズマにより前記加工物をエッチングするステップと,
を含む,方法。」

本願発明1と引用発明は,以下の点で相違する。
<相違点>
・相違点1
本願発明1は,フレームに取り付けられたサポート・フィルム上に「中間リング」と前記基板を置いて加工物を形成するステップを含むのに対して,引用発明は,「中間リング」を置くことが特定されていない点。

・相違点2
本願発明1は,「更なる処理のために前記処理チャンバ外に前記加工物を移送するステップ」を含むのに対して,引用発明には,当該構成が特定されていない点。

第6 判断
1 相違点1について
上記第4の2(2)のとおり,引用文献1には,カバー・リングによってプラズマから遮蔽されていないプラズマ処理に露出されるテープの領域は高温に到達し,場合によっては機能しなくなること。
ESCの外径からリフト機構まで延びる充填リングが,露出されたいかなるテープの裏面にもプラズマが接触するのを防止するために使用されること。
ESCを延ばすことでも,テープの裏側に対するプラズマの露出を防止できることが記載されている。
そうすると,上記記載から,引用発明において,テープが,プラズマに露出することが望ましくないこと,及び,テープの裏側は,ESCの外径からリフト機構まで延びる充填リング,又は,延ばされたESCによって,プラズマの接触から保護されることが理解される。
一方,上記第4の4のとおり,引用文献2には,プラズマリアクターで行われる操作において,ダイシングテープの接着剤がプラズマに露出するのを防ぐために,ダイシングテープの露出した接着剤の上に保護カバーを置くことが記載されている。
してみれば,引用文献1及び2に接した当業者であれば,テープのプラズマに対する露出によって生じる望ましくない影響を避けるために,テープがプラズマに露出することを避けること,すなわち,引用発明において,カバー・リングによってプラズマから遮蔽されていないプラズマ処理に露出されるテープの領域が,高温に到達し,場合によっては機能しなくなることを避けるために,引用文献2に記載された技術を適用して,ダイシングテープの露出した接着剤の上に保護カバー,すなわち,「中間リング」を置き,本願発明1の相違点1に係る構成とすることは当業者が容易になし得たことである。

2 相違点2について
上記第4の2(2)のとおり,引用文献1には,半導体基板のプラズマ・ダイシングを可能にするプラズマ処理装置の使用において,基板/テープ/フレーム・アセンブリは,真空の処理チャンバ内へ移動され,反応ガス・プラズマに露出されて,ダイ間の保護されていない領域がエッチング除去され,ダイが完全に分離される処理の後に,基板/テープ/フレーム・アセンブリを処理チャンバから移動させて,ダイはよく知られている技法を使用してテープから取り外され,次いで必要に応じてさらに処理(たとえばパッケージング)されることが記載されている。
すなわち,引用文献1には,基板をプラズマ・ダイシングした後に,たとえばパッケージング等の更なる処理のために,基板/テープ/フレーム・アセンブリを処理チャンバから移動,すなわち,処理チャンバ外に移送することが記載されているのであるから,上記相違点2は,実質的なものではない。仮に相違点であるとしても,引用文献1の記載に基づいて,本願発明1の相違点2に係る構成を採用することは,当業者が容易になし得たことである。

3 効果について
これらの相違点を総合的に勘案しても,本願発明1の奏する作用効果は,引用発明及び引用文献2に記載された技術の奏する作用効果から予測される範囲内のものにすぎず,格別顕著なものということはできない。

第7 むすび
以上のとおり,本願発明1は,引用文献1,2に記載された発明に基づいて,その優先日前にその発明の属する技術の分野における通常の知識を有する者が容易に発明をすることができたものであるから,特許法29条2項の規定により特許を受けることができない。
したがって,本願は拒絶すべきものである。

よって,結論のとおり審決する。
 
別掲
 
審理終結日 2020-06-23 
結審通知日 2020-06-24 
審決日 2020-07-08 
出願番号 特願2016-573642(P2016-573642)
審決分類 P 1 8・ 571- Z (H01L)
P 1 8・ 121- Z (H01L)
最終処分 不成立  
前審関与審査官 宇多川 勉  
特許庁審判長 辻本 泰隆
特許庁審判官 加藤 浩一
小川 将之
発明の名称 半導体ウエハをプラズマ・ダイシングするための方法及び装置  
代理人 特許業務法人浅村特許事務所  

プライバシーポリシー   セキュリティーポリシー   運営会社概要   サービスに関しての問い合わせ